International Journal of Research and Scientific Innovation (IJRSI) | Volume V, Issue IV, April 2018 | ISSN 2321–2705

FPGA Implementation of PID Controller Using Xilinx System Generator

Santosh Kumar.B

  CSE Department, New Horizon College of Engineering, Bengaluru, Karnataka, India

Abstract— In this paper implementation of digital PID controller using Field Programmable Gate array (FPGA) is presented. Firstly, the paper adopts genetic algorithm to optimize the parameters of PID controller and introduces Spartan3e FPGA to implement the PID controller. Secondly, the closed-loop test system is constructed by DSP builder and Matlab/Simulink

Keywords— FPGA, PID controller, Genetic Algorithm, Matlab, Xilinx ISE13.1, Spartan3e.

I. INTRODUCTION

An arrangement of physical components in such a manner to regulate itself or another system is a control system. This control system is composed of a plant which has to be regulated and a controller. The function of a controller is to obtain the desirable characteristics avoiding undesired characteristics. The controller can be configured in analogue or discrete. On a whole, the realization of discrete controller can be comprehends with the aid of microcontroller, microprocessor or FPGA. Where FPGA features speed, accuracy, power compactness, and cost improvement. The majority of the regulatory loops in the process industries use conventional PID controller. System generating tool is the industry’s leading high-level tool. It is possible to provide system modeling and automatic code generation from Simulink® and MATLAB®. It incorporates RTL, embedded, MATLAB and hardware components of a system.

II. DISCRETIZATION PID CONTROLLER

PID controller is traditionally been chosen by control system engineers due to their flexibility and reliable. A PID controller has proportional, integral and derivative terms that can be represented in continuous domain transfer function form as:

R(s) =Kp+Ki(1/s)+Kd s                     (1)

Where, Kp, Ki and Kd represents the proportional gain, the integral gain, and the derivative gain respectively. By tuning these PID controller gains, the controller can provide regulated action designed for specific process requirements. The proportional term makes the current error signal multiplied with a proportional gain. The integral term makes the current error signal value and duration multiplied with integral gain. The derivative term makes the rate of change of the error signal multiplied with a derivative gain.